Lam's New Etch and Deposition Products Control Process Variability to Enable Advanced Multiple Patterning


SAN FRANCISCO, CA--(Marketwired - July 07, 2014) - Lam Research Corp. (NASDAQ: LRCX), a major global supplier of innovative wafer fabrication equipment and services to the global semiconductor industry, today released two new products that deliver the stringent process control and productivity needed for advanced patterning. Multiple patterning strategies rely on etch and deposition to extend the use of optical lithography and involve an increasing number of these enabling process steps. Lam's 2300® Kiyo® F Series conductor etch system uses a breakthrough technology -- the Hydra™ Uniformity System -- to enable cross-wafer process control that corrects for incoming pattern non-uniformities. The VECTOR® ALD Oxide system uses atomic layer deposition (ALD) to create the highly conformal dielectric films that are used to define critical pattern dimensions in a multiple patterning sequence.

Double and quadruple patterning schemes are being used by chipmakers to compensate for limitations in optical lithography. These techniques create smaller features than would be possible from single patterning by printing larger, less dense patterns and then shrinking both the size and the spacing by repeating some combination of lithography/etch/deposition steps to achieve the desired dimensions. With the increased number of process steps, variability challenges are exacerbated since each individual step contributes to overall non-uniformity. Because of this compounding effect, variability tolerances for etch and deposition need to be far more stringent in order for devices to function as intended. Process control is essential since variability can impact device performance, power consumption, and yield, which may lead to costly and time-consuming rework. In addition to variability control, high productivity is required to mitigate the increased manufacturing costs from additional process steps.

The latest generation of Lam's market-leading conductor etch products, the 2300 Kiyo F Series addresses patterning variability by using its Hydra technology to correct for critical dimension (CD) non-uniformities present on the incoming wafer. The system's symmetrical chamber design provides inherently uniform etch process results, while the Hydra technology further improves uniformity through localized corrections. Using proprietary hardware and software, this technology maps incoming CDs and adjusts etch process conditions in "micro" zones across the wafer to reduce variability, thereby compensating for variation from up-stream processes.

The VECTOR ALD Oxide system utilizes atomic-scale deposition to deliver highly conformal films with excellent thickness uniformity, high repeatability, and low defectivity. These capabilities are essential for spacer-based multiple patterning approaches, where the deposited film becomes the mask and defines critical pattern dimensions for the subsequent step. The VECTOR ALD Oxide system can deposit films at low temperatures, enabling spacer formation on a wide variety of materials. In addition, the hardware design allows fast gas-switching, providing a productivity advantage over competitive systems.

"Lam's advanced technologies for multiple patterning applications are helping our customers overcome one of their most fundamental challenges," said Rick Gottscho, executive vice president of Global Products. Lam Research is engaged in a number of strategies to stay at the forefront of innovation. These include the recently announced joining of imec's Supplier Hub for the development of advanced patterning solutions. "As chipmakers continue to scale device designs, our etch and deposition systems are becoming increasingly important for extending optical lithography to build these smaller, higher-density, advanced semiconductors," Gottscho concluded.

Caution Regarding Forward-Looking Statements

Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to, statements concerning the performance of Lam's products, such as their ability to deliver the process control and productivity needed for advanced patterning, and the increasing importance of Lam's systems for extending optical lithography. Such forward looking statements are based on current beliefs and expectations and are subject to risks, uncertainties and changes in condition, significance, value and effect, including those discussed in Lam's annual report on Form 10-K under the heading "Risk Factors" as well as in other documents filed by Lam with the Securities and Exchange Commission. Such risks, uncertainties and changes in condition, significance, value and effect could cause actual results to differ materially from those expressed herein and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward looking statements, which speak only as of the dates made and of information reasonably known to Lam as of the dates the statements were made. We undertake no obligation to release the results of any revisions to these forward looking statements which may be made to reflect events or circumstances which occur after the date hereof or to reflect the occurrence or effect of anticipated or unanticipated events.

About Lam Research

Lam Research Corp. (NASDAQ: LRCX) is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Lam's broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand, resulting in smaller, faster, and more power-efficient chips. Through collaboration, continuous innovation, and delivering on commitments, Lam is transforming atomic-scale engineering and enabling its customers to shape the future of technology. Based in Fremont, Calif., Lam Research is an S&P 500® company whose common stock trades on the NASDAQ® Global Select Market™ under the symbol LRCX. For more information, please visit http://www.lamresearch.com.

Contact Information:

Lam Research Contact:
Bob Climo
Corporate Communications
+1-510-572-5048
bob.climo@lamresearch.com